Tecnología

Inicio

Un tutorial para FPGA de Altera

Altera proporciona el software Quartus II para programar juntas FPGA, también conocidas como matrices de puertas programables en campo. FPGAs puede demostrar los principios básicos de ingeniería informática e instructores de ingeniería informática suelen usar proyectos FPGA de Altera y para enseñar a los proyectos simples, tales como pantallas de siete segmentos LED. el software Quartus II de Altera le permite crear los diagramas de bloques lógicos y el código VHDL. También puede utilizar los diagramas o el código para crear proyectos tales como contadores y otros tipos de circuitos lógicos.

Instrucciones

1 software y Quartus II de Altera abierta crear un nuevo proyecto haciendo clic en "Archivo" en la barra de menú superior y haga clic en "Asistente para nuevos proyectos." Haga clic en "Siguiente" y seleccionar un directorio en el que crear el proyecto. Dar a la entidad y diseño del proyecto un nombre y haga clic en "Finalizar" para crear el proyecto.

2 Haga clic en "Tareas" y seleccione "dispositivo". Seleccione la familia para el dispositivo FPGA y seleccione el nombre del dispositivo en el cuadro desplegable. Haga clic en "OK" para asignar el dispositivo especificado.

3 Crear un archivo de diagrama de bloques haciendo clic en "Archivo", luego clic en "Nuevo" y seleccionar "Diagrama de bloques del archivo / Esquema". Dar un nombre al archivo y haga clic en "Aceptar" para guardar el archivo.

Crear un archivo VHDL haciendo clic en "Archivo" y "Nuevo" y seleccionar "Verilog HDL archivo". Dar ese archivo un nombre y haga clic en "Aceptar" para guardar. Agregue el código VHDL para su diseño.

4 Añadir símbolos lógicos para el archivo de diagrama de bloques para crear su diagrama esquemático. Haga clic en "Editar" y haga clic en "Insertar símbolo" para añadir diferentes tipos de símbolos.

5 Cambiar el nombre de la asignación de pines de los símbolos para que coincida con el hardware de la FPGA. Haga clic en "Processing", seleccione "Inicio" y haga clic en "Análisis y Elaboración de inicio" para tirar el proyecto junto con el diseño y asignar los pines. Haga clic en "Tareas" y haga clic en "botones" para abrir el cuadro de diálogo donde se puede asignar cada pasador desde el diseño a los valores de la placa.

6 Haga clic en "Inicio Compilación" y guardar los cambios en su diseño. Haga clic en "Herramientas" en la barra de menú superior y haga clic en "programador" para enviar el diseño para el dispositivo FPGA. Conectar el dispositivo al ordenador mediante el cable USB y ponga el interruptor en el dispositivo para el ajuste "Ejecutar". Encienda la tarjeta FPGA y haga clic en "Inicio" desde el software Quartus II para descargar el programa para el dispositivo.