Tecnología

Inicio

Cómo convertir binario Mux de One Hot

El lenguaje binario se utiliza en la programación de ordenadores para crear aplicaciones de software o código para Windows de Visual Basic y el sistema operativo Windows. Los vectores binarios pueden tener múltiples valores que pueden ser confusos y conducir a varias ventanas de codificación en el equipo. Si desea convertir sus vectores binarios en un conjunto de entrada caliente, puede hacerlo con un código a través de línea de órdenes o comandos del ordenador. Una vez implementado, usted será capaz de convertir su mux vector binario en una línea caliente.

Instrucciones

1 Abra su terminal o símbolo del sistema a través de la lista de "Programas" en el botón "Inicio".

2 Tipo:

IEEE biblioteca;
utilizar ieee.std_logic_1164.all;
utilizar ieee.numeric_std.all;

entidad es onehot_binary
puerto (onehot_in: en std_logic_vector;

binary_out : out std_logic_vector );

empezar

assert 2**binary_out'length = onehot_in'length severity failure;

fin;

arquitectura RTL de onehot_binary es

función one_hot_to_binary (

One_Hot : std_logic_vector ;
size : natural

) Std_logic_vector retorno es

variable Bin_Vec_Var : std_logic_vector(size-1 downto 0);

empezar

Bin_Vec_Var := (others => '0');

porque yo en bucle One_Hot'range
si One_Hot (I) = '1', entonces
Bin_Vec_Var: = Bin_Vec_Var o std_logic_vector (to_unsigned (I, calibre));
terminara si;
Ciclo de sitio;
volver Bin_Vec_Var;

Función del final;

empezar

binary_out <= one_hot_to_binary (onehot_in, binary_out'length);

fin;

3 Presione el botón "Enter" para sustituir a los multiplexores binarios en el sistema a una entrada de plomo caliente.

Consejos y advertencias

  • El std_logic_1164.all; línea de código reemplaza los archivos binarios en el equipo a uno.